[Github-comments] [geany] Variables list does not parse Verilog 2001 style module port declarations properly (#670)

elextr notifications at xxxxx
Sun Sep 27 05:50:09 UTC 2015


tagmanager/ctags/verilog.c

---
Reply to this email directly or view it on GitHub:
https://github.com/geany/geany/issues/670#issuecomment-143522119
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <https://lists.geany.org/pipermail/github-comments/attachments/20150926/35866a03/attachment.html>


More information about the Github-comments mailing list